Trung tâm đào tạo thiết kế vi mạch Semicon


  • ĐĂNG KÝ TÀI KHOẢN ĐỂ TRUY CẬP NHIỀU TÀI LIỆU HƠN!
  • Đăng ký
    *
    *
    *
    *
    *
    Fields marked with an asterisk (*) are required.
semicon_lab.jpg

Thế giới ASIC

Giới thiệu sơ lược về các công cụ mô phỏng trong thiết kế ASIC

Công cụ mô phỏng (simulator)

Trong sơ đồ thiết kế ASIC, ứng với mỗi công đoạn thiết, các kỹ sư thiết kế sẽ dùng các công cụ mô phỏng tướng ứng.

Lần cập nhật cuối ( Thứ ba, 07 Tháng 7 2015 20:08 ) Đọc thêm...
 

Mạch trừ

[​IMG].1 Mạch trừ nửa và trừ đủ
Cũng gồm 2 loại mạch trừ nửa hay chưa đủ : haft subtractor (HS) và trừ đủ hay còn gọi là trừ bán phần : full subtractor (FS) (khi này cần bit mượn Bi trước tham gia vào phép tính)
Đọc thêm...
 

Một số ví dụ về D FLIP FLOP dùng verilog.

[​IMG]
- Dữ liệu đầu ra Q phụ thuộc vào xung clock. Hay nói cách khác dữ liệu đầu ra Q bằng dữ liệu đầu vào D phụ thuộc vào sự tác động của xung clock. D Flip Flop là một trong 4 flip-flop cơ bản trong thiết kế số.Vì nó là đơn giản nhất trong 4 loại nên được sử dụng rất nhiều trong thiết kế số.
Lần cập nhật cuối ( Thứ hai, 20 Tháng 7 2015 19:15 ) Đọc thêm...
 

Video những vi mạch điện tử

 

              Cùng tìm hiểu nha khi bạn muốn biết về chúng.

Lần cập nhật cuối ( Thứ ba, 07 Tháng 7 2015 20:10 ) Đọc thêm...
 

Video lập trình vi điều khiển

 

 Lập trình vi điều khiển-Phần 1-Giới thiệu mạch Arduino

Lần cập nhật cuối ( Thứ ba, 07 Tháng 7 2015 19:15 ) Đọc thêm...
 

video tư duy lập trình,nền tảng lập c, c++...

 
Video Tư Duy Lập Trình, nền tảng lập trình C, C++, C#, Java, Javascript, PHP, ASP, ASP.NET
.

Lần cập nhật cuối ( Thứ ba, 07 Tháng 7 2015 19:14 ) Đọc thêm...
 

FPGA làm việc như thế nào??

LogicCell.gif*Cấu trúc cơ bản của FPGA
- Fpga đươc cấu tạo cơ bản từ những khối logic (logic blocks), tùy vào loại FPGA mà nó có thể chứa từ hang tram đến hàng chục nghìn khối logic đươc bố trí dưới dạng ma trận. Mối khối logic chứa các LUT, 1 D-flipflop và 1 khối chọn kênh từ 2 sang một 2-to-1 mux.

Lần cập nhật cuối ( Thứ sáu, 07 Tháng 8 2015 19:19 ) Đọc thêm...
 

Giới thiệu bộ xử lý ARM Cortex-M3

Hình ảnh1. Giới thiệu
Giải pháp Soc (System-on-chip) dựa trên bộ vi xử lý nhúng ARM được ứng dụng vào rất nhiều thị trường khác nhau bao gồm các ứng dụng doanh nghiệp, các hệ thống ô tô, mạng gia đình và công nghệ mạng không dây...
Lần cập nhật cuối ( Thứ năm, 02 Tháng 7 2015 16:05 ) Đọc thêm...
 

Đồng hồ báo thức sử dụng vi điều khiển 89S51

clk.JPGĐồng hồ báo thức là một chiếc đồng hồ mà chỉ một thời gian định trước bằng cách tạo ra âm thanh vào thời điểm đó. Chức năng này được sử dụng để đánh thức mọi người hay nhắc nhở họ về một điều gì đó

Đọc thêm...
 

Hướng dẫn sử dụng phần mềm ModelSim

1.Chạy chương trình từ shortcut trên Desktop của máy tính hoặc vào menu Start → All Programs → ModelSim PE Student Edition → ModelSim, sau đó chờ chương trình khởi động.
Đọc thêm...
 

Hệ thống trên một vi mạch (SOC)

https://encrypted-tbn2.gstatic.com/images?q=tbn:ANd9GcQY2RQ0LOb6UKMv95fwLMo6mqKFAh54UR_enAp_Z_GY0Z77mXO1kNi1ABcHệ thống trên một vi mạch (trong tiếng Anh gọi là system-on-a-chip, viết tắt là SoC hay SOC) là một hệ thống điện tử được xây dựng trên một đế silicon với ý tưởng ban đầu là tích hợp tất cả các thành phần của một hệ thống máy tính lên trên một vi mạch đơn (hay còn gọi là một chip đơn). Hệ thống SoC này có thể bao gồm các khối chức năng số, tương tự, tín hiệu kết hợp (mixed-signal) và cả các khối tần số radio (RF). Ứng dụng điển hình của các hệ thống trên một vi mạch là các hệ thống nhúng.

Đọc thêm...
 

Giới thiệu về ngôn ngữ verilog

verilog hdl.JPG1,Giới thiệu
-- Verilog là ngôn ngữ mô tả phần cứng (Hardware Description Language)được sử dụng trong việc thiết kế các các hệ thống số, các mạch tích hợp: như bộ nhớ RAM, bộ vi xử lý hoặc đơn giản là

Lần cập nhật cuối ( Thứ hai, 20 Tháng 7 2015 19:15 ) Đọc thêm...
 

Hướng dẫn cách đếm chân ic đơn giản

ic 2025Với ic chúng ta không có cách nào có thể xác định chân như tranzitor được cách duy nhất là phải biết cách đếm chân của ic để tiện lắp mạch điện tử . Ví dụ: Với ic 2025 (ic công suất âm tần mình sẽ nói chức năng con này sau)
Đọc thêm...
 

Hướng dẫn làm mạch sạc điện thoại dự phòng từ ac quy V2 bằng TIP41 hoặc D718

 Hướng dẫn làm mạch sạc điện thoại dự phòng từ ac quy V2 bằng TIP41 hoặc D718 1 Hôm nay mình hướng dẫn các bạn 1 tác phẩm sạc v2 kinh điển hơn và cũng rất đơn giản nhưng sẽ giải quyết tất cả vấn đề sạc quá chậm, không nhận sạc...

Đọc thêm...
 

Thiết Kế Verilog cho Bộ mã hóa ưu tiên!

Giới thiệu ngôn ngữ lập trình Verilog
Bộ Mã Hóa sử dụng diễn tả IF 

1 //-----------------------------------------------------
2 // Design Name : encoder_using_if
3 // File Name : encoder_using_if.v
4 // Function : Encoder using If
Đọc thêm...
 

Thiết Kế Verilog cho Verilog - FIFO bất đồng bộ!

Giới thiệu ngôn ngữ lập trình Verilog

FIFO is an acronym for First In, First Out, an abstraction in ways of organizing and manipulation of data relative to time and prioritization. This expression describes the principle of a queue processing technique or servicing conflicting demands by ordering process by first-come, first-served (FCFS) behaviour: what comes in first is handled first, what comes in next waits until the first is finished, etc.

Lần cập nhật cuối ( Thứ hai, 20 Tháng 7 2015 19:16 ) Đọc thêm...
 
Trang 107 của 119

Latest IC Design Articles

Related Articles

Most Read IC Design Articles

Chat Zalo